新闻  |   论坛  |   博客  |   在线研讨会
FPGA MODELSIM仿真 文件的读取与写入
tanry111 | 2018-06-11 17:44:55    阅读:3079   发布文章

reg [15:0]i;

reg [15:0]j;

integer fp_r,fp_w2;  

integer count;

always #150 clk=~clk;


fp_r=$fopen("image19.txt","r");//打开文件,r表示read

fp_w2=$fopen("sub.txt","w");//打开文件,w表示write 

   

for (j=0;j<960;j=j+1) begin//循环开始读取

 #30000 Vsync=1;

 #1800 Lsync=1;

for (i=0;i<1280;i=i+1) begin    

  count=$fscanf(fp_r,"%d" ,inx) ;//读取一行到inx 

  #300   $display("inx=%d  sub=%d",inx,sub) ;//显示读取的值,sub为程序计算值

end

     Lsync=0;

#600 $fwrite(fp_w2 , "%d \n",sub);  //将sub写入文件 

end  

  #1800 Vsync=0;

$fwrite(fp_w2 , "end"); 

$fwrite(fp_w , "end");

 $fclose(fp_r);//关闭文件

 $fclose(fp_w2);


image19.txt格式

QQ截图20180611174210.png每行为一个十进制数值


完成后sub.txt内部数据

QQ截图20180611174338.png


*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客